RLPlanner: Reinforcement Learning based Floorplanning for Chiplets with Fast Thermal Analysis (2312.16895v2)
Abstract: Chiplet-based systems have gained significant attention in recent years due to their low cost and competitive performance. As the complexity and compactness of a chiplet-based system increase, careful consideration must be given to microbump assignments, interconnect delays, and thermal limitations during the floorplanning stage. This paper introduces RLPlanner, an efficient early-stage floorplanning tool for chiplet-based systems with a novel fast thermal evaluation method. RLPlanner employs advanced reinforcement learning to jointly minimize total wirelength and temperature. To alleviate the time-consuming thermal calculations, RLPlanner incorporates the developed fast thermal evaluation method to expedite the iterations and optimizations. Comprehensive experiments demonstrate that our proposed fast thermal evaluation method achieves a mean absolute error (MAE) of 0.25 K and delivers over 120x speed-up compared to the open-source thermal solver HotSpot. When integrated with our fast thermal evaluation method, RLPlanner achieves an average improvement of 20.28\% in minimizing the target objective (a combination of wirelength and temperature), within a similar running time, compared to the classic simulated annealing method with HotSpot.
- T.-C. Chen and Y.-W. Chang, “Modern floorplanning based on b/sup*/-tree and fast simulated annealing,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 25, no. 4, pp. 637–650, 2006.
- A. Coskun et al., “A cross-layer methodology for design and optimization of networks in 2.5 d systems,” in 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). IEEE, 2018, pp. 1–8.
- F. Eris et al., “Leveraging thermally-aware chiplet organization in 2.5 d systems to reclaim dark silicon,” in 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, 2018, pp. 1441–1446.
- V. A. Chhabria et al., “Thermal and ir drop analysis using convolutional encoder-decoder networks,” in Proceedings of the 26th Asia and South Pacific Design Automation Conference, 2021, pp. 690–696.
- L. Chen et al., “Fast thermal analysis for chiplet design based on graph convolution networks,” in 2022 27th Asia and South Pacific Design Automation Conference (ASP-DAC). IEEE, 2022, pp. 485–492.
- Y. Ma et al., “Tap-2.5 d: A thermally-aware chiplet placement methodology for 2.5 d systems,” in 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, 2021, pp. 1246–1251.
- A. Kannan et al., “Enabling interposer-based disintegration of multi-core processors,” in Proceedings of the 48th international symposium on Microarchitecture, 2015, pp. 546–558.
- Huawei ascend 910 provides an nVidia AI training alternative. [Online]. Available: https://www.servethehome.com/huawei-ascend-910-provides-a-nvidia-aitraining-alternative/
- J. Schulman et al., “Proximal policy optimization algorithms,” arXiv preprint arXiv:1707.06347, 2017.
- Y. Burda et al., “Exploration by random network distillation,” arXiv preprint arXiv:1810.12894, 2018.
- W. Huang et al., “Hotspot: A compact thermal modeling methodology for early-stage vlsi design,” IEEE Transactions on very large scale integration (VLSI) systems, vol. 14, no. 5, pp. 501–513, 2006.